Trace Signal Selection for Post-silicon Debug

Trace Signal Selection for Post-silicon Debug
Author :
Publisher :
Total Pages : 112
Release :
ISBN-10 : OCLC:884441373
ISBN-13 :
Rating : 4/5 ( Downloads)

Book Synopsis Trace Signal Selection for Post-silicon Debug by :

Download or read book Trace Signal Selection for Post-silicon Debug written by and published by . This book was released on 2014 with total page 112 pages. Available in PDF, EPUB and Kindle. Book excerpt: Modern technology scaling enables integration of billions of transistors on the same chip. This increase in design complexity makes it difficult to comprehensively validate the design prior to mass production. The main challenge in post-silicon validation is the lack of observability to the internal signals of the manufactured chips. One way to increase this observability is by using Embedded Logic Analyzers (ELAs) which are widely adopted by the industry for the past few years. A core component inside an ELA are trace buffers, which record the signal values corresponding to a small subset of state elements in the design for a few thousand clock cycles. Due to the large area overhead of the trace buffers, only a small fraction of the state elements in the design can be traced online. The signal values of the traced state elements are then used to restore the values of the remaining not-traced state elements. The automated trace signal selection problem focuses on selection of the trace signals in order to maximize the restoration of the remaining state elements within an observation window. In this dissertation, we first propose a hybrid single-mode trace signal selection algorithm which achieves a good balance between solution quality and runtime-scalability. Next, we consider the impact of control signals in the restoration process using the values of the trace signals. We first propose an automated procedure to identify control signals; currently identification of control signals in a design is mostly done manually. However manual identification is not an easy task anymore because of increase in the number of control signals with increase in design complexity as well as automated insertion by CAD tools. We next introduce the trace signal selection problem in the presence of multiple operation modes which occur when control signals take different values. We show existing algorithms which are based on trace signal selection in a single operation mode achieve poor signal restoration over multiple operation modes. In contrast, our proposed algorithm considers restoration over all the operation modes and is therefore able to achieve much higher restoration over all the desired operation modes.


Trace Signal Selection for Post-silicon Debug Related Books

Trace Signal Selection for Post-silicon Debug
Language: en
Pages: 112
Authors:
Categories:
Type: BOOK - Published: 2014 - Publisher:

DOWNLOAD EBOOK

Modern technology scaling enables integration of billions of transistors on the same chip. This increase in design complexity makes it difficult to comprehensiv
Trace-Based Post-Silicon Validation for VLSI Circuits
Language: en
Pages: 118
Authors: Xiao Liu
Categories: Technology & Engineering
Type: BOOK - Published: 2013-06-12 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

This book first provides a comprehensive coverage of state-of-the-art validation solutions based on real-time signal tracing to guarantee the correctness of VLS
System-level Trace Signal Selection for Post-silicon Debug Using Linear Programming
Language: en
Pages:
Post-Silicon Validation and Debug
Language: en
Pages: 393
Authors: Prabhat Mishra
Categories: Technology & Engineering
Type: BOOK - Published: 2018-09-01 - Publisher: Springer

DOWNLOAD EBOOK

This book provides a comprehensive coverage of System-on-Chip (SoC) post-silicon validation and debug challenges and state-of-the-art solutions with contributio
Combination of Trace and Scan Signals for Debuggability Enhancement in Post-silicon Validation
Language: en
Pages: 232
Authors: Kihyuk Han
Categories:
Type: BOOK - Published: 2013 - Publisher:

DOWNLOAD EBOOK

Pre-silicon verification is an essential part of integrated circuit design to capture functional design errors. Complex simulation, emulation and formal verific